Renzym Education
Renzym Education
  • 382
  • 1 055 177
Complex numbers tutorial [Urdu/Hindi]
#imaginarynumber #complexnumbers #eular
English version: ua-cam.com/video/OkQdJBpflr8/v-deo.html
In this video I tried to simplify understanding of complex numbers, imaginary numbers and Eular's formula.
Slides and matlab/octave code for plots: tinyurl.com/cmplxnos
Some useful links I mentioned in talk
Real Imaginative Guide to Complex Numbers:
wirelesspi.com/a-real-imaginative-guide-to-complex-numbers/
A Quadrature Signals Tutorial:
www.dsprelated.com/showarticle/192.php
Welch Labs - Imaginary numbers are real:
ua-cam.com/video/T647CGsuOVU/v-deo.html
3Blue1Brown - Taylor Series:
ua-cam.com/video/3d6DsjIBzJ4/v-deo.html
How imaginary numbers were invented:
ua-cam.com/video/cUzklzVXJwo/v-deo.html
SUBSCRIBE! Also Enable Notifications by clicking bell button on channel page
ua-cam.com/users/RenzymEducation
CONTENT
(0:00) Complex numbers review: Addition, Multiplication
(12:58) Why iota i.e. sqrt(-1) makes sense as 90 degree rotation
(15:26) Intuitive proof of Euler's formula
(29:25) Bonus - Further intuition behind the proof
Переглядів: 240

Відео

Computer Architecture Crash Course [Urdu/Hind]
Переглядів 3,1 тис.Рік тому
#computerarchitecture #riscv Reference: Most of lecture material is derived from freely available course i.e. UC Berkeley course CS 152 inst.eecs.berkeley.edu/~cs152/sp12/ Single Cycle Processor (0:00) Intro (4:18) A simple processor design (22:47) RISC-V single cycle processor design Pipelining and branch prediction (1:00:00) Pipeline intro and critical path (1:09:42) Pipelining single cycle R...
Processor design for dummies [English]
Переглядів 8 тис.Рік тому
#processor #verilog #computerarchitecture #processordesign A processor 101 intro. Gives a basic idea of assembly language, machine code and processor design from scratch. Processor code: github.com/yasir-javed/simple_processor Urdu video: ua-cam.com/video/Pkq9S6vCsm0/v-deo.html Verilog video: ua-cam.com/video/nblGw37Fv8A/v-deo.html iverilog installation: ua-cam.com/video/Y0bNVStZok4/v-deo.html ...
Python/Numpy/Jupyter in 2 hrs [Urdu/Hindi]
Переглядів 1,2 тис.Рік тому
#python #pythontutorial #numpy #machinelearning #jupyternotebook Python quick tutorial, covers python basics in first 1.5 hrs. Numpy, plotting and working with images and files in next 1 hour Machine learning in 5 Hrs: ua-cam.com/video/HGYWEOiQgWM/v-deo.html Stanford Python tutorial link (cs231n): cs231n.github.io/python-numpy-tutorial/ Google Colab intro: ua-cam.com/video/inN8seMm7UI/v-deo.htm...
Machine Learning in 5 hrs [Urdu/Hindi]
Переглядів 1,8 тис.Рік тому
#machinelearning #neuralnetworks #convolutionalneuralnetwork I have tried to sum up complete Machine Learning course in 5 hours in this video. In addition to topics covered in original famous Andrew Ng's Coursera course (Linear and Logistic Regression, Regularization, Neural networks, SVMs, Clustering, PCA, Recommender systems, Decision Trees) we also covered CNNs (Convolutional Neural Networks...
Course Review 3/3, SVM, Clustering,PCA, Anomaly, Recommender,CNNs, Machine Learning Lec 30/30 [Urdu]
Переглядів 216Рік тому
(0:00) SVM: Maths behind large margin classifier (5:31) SVM: Kernels (18:13) Clustering (24:53) Dimensionality reduction: Principale Component Analysis PCA (40:26) Anomaly Detection (1:00:30) Recommender Systems (1:13:35) Large datasets, Stochastic/Mini-batch gradient descent, Map-reduce (1:22:07) OCR example, Sliding window, Ceiling analysis (1:32:35) Decision trees, Tree ensembles, Random For...
Course Review 2/3, Neural networks, Error Analysis, F-score, SVM, Machine Learning Lec 29/30 [Urdu]
Переглядів 220Рік тому
(0:00) Non-linear hypothesis (4:21) Neurons and Model representation (18:17) Multi-classclassification (19:44) Neural network Cost function (21:54) Back propagation (33:30) Gradient checking, random initialization (38:48) Machine learning advice (40:50) Evaluating a hypothsis (42:53) Model selection and training/validation/test sets (45:00) Diagnosing bias vs variance, Regulrization (48:51) Lea...
Course Review 1/3, Linear and Logistic regression, Regularization, Machine Learning Lec 28/30 [Urdu]
Переглядів 466Рік тому
(0:00) What is machine learning (1:58) Supervised Learning (6:33) Model representation (24:07) Gradient descent (33:18) Briefest overview of matrices (35:28) Multiple features (42:22) Feature scaling, Mean normalization (47:06) Learning rate (49:51) Polynomial regression (53:43) Normal equation (59:42) Logistic regression/Classification (1:15:03) Multi-class classification (1:17:40) Regularizat...
Face recognition/verification, FaceNet, DeepFace, Style transfer, Machine Learning Lec 27/30 [Urdu]
Переглядів 624Рік тому
(0:00) Face recognition intro (4:40) One-shot learning (9:58) Siamese Network (14:00) Triplet loss (26:42) Face verification and binary classification (33:04) Neural style transfer (35:15) What are deep ConvNets learning (50:13) Neural style transfer cost function (52:19) Content cost function (54:16) Style cost function (1:04:52) 1D and 3D generalzation of models Complete playlist: ua-cam.com/...
YOLO algorithm, Object detection, Non-Max suppression, Anchor box, Machine Learning Lec 26/30 [Urdu]
Переглядів 512Рік тому
(0:00) Review: 1x1 convolution (1:30) Object localization (20:55) Landmark detection (29:00) Skippable (34:24) Object detection (38:14) Convolutional implementation of sliding windows (51:31) Yolo algorithm, Bounding box prediction. (1:02:31) Intersection over union (IoU) (1:04:00) Non-max suppression (1:12:08) Anchor boxes (1:16:22) Putting is together: YOLO algorithm Complete playlist: ua-cam...
1x1 convolution, Inception/GoogLeNet, Transfer learning, Machine Learning Lec 25/30 [Urdu]
Переглядів 377Рік тому
(0:00) Review: LeNet-5, ResNets, AlexNet, VGG (4:57) 1x1 convolution: Network in Network (15:06) Inception network motivation (29:39) Inception network (42:30) Transfer learning (53:47) Data augmentatioon (1:01:26) Practical advice: State of computer vision (1:13:58) Using open source implementations Complete playlist: ua-cam.com/video/qUILAy-I204/v-deo.html Course Material (Slides/Assignments)...
LeNet-5, ResNets, AlexNet, VGG examples, Pooling layer, Machine Learning Lec 24/30 [Urdu]
Переглядів 379Рік тому
(0:00) Review: convolution/strides/padding (4:54) A simple convlutional network example (14:14) Pooling layer (20:56) Convolutional neural network example (30:29) Why convolutions (37:37) Case studies intro (41:03) LeNet-5 (45:50) AlexNet (52:07) VGG-16 (1:03:28) ResNets - Residual Networks Complete playlist: ua-cam.com/video/qUILAy-I204/v-deo.html Course Material (Slides/Assignments): tinyurl....
Convolutional Neural Network (CNNs), Stride, Padding, Edge detect, Machine Learning Lec 23/30 [Urdu]
Переглядів 429Рік тому
Re-uploaded with video straightened. (0:00) Computer vision intro (16:16) Convolution: Edge detection example (47:16) Padding (57:49) Strided Convolutions (1:06:05) Convolution over volumes (1:12:03) One layer of a convolutional network Complete playlist: ua-cam.com/video/qUILAy-I204/v-deo.html Course Material (Slides/Assignments): tinyurl.com/ml-renzym SUBSCRIBE! Also Enable Notifications by c...
Random forests, Bagged decision trees, XGBoost, Regression trees, Machine Learning Lec 22/30 [Urdu]
Переглядів 244Рік тому
(0:00) Decision trees review (6:14) Regression trees (18:28) Tree ensembles: Using multiple decision trees (25:00) Sampling with replacement (29:32) Random Forests and Bagged decision trees (37:55) XGBoost (46:23) Conclusion: When to use decision trees (1:06:19) Alternatives to sigmoid function (1:21:10) Why do we need activation functions Complete playlist: ua-cam.com/video/qUILAy-I204/v-deo.h...
Decision trees, Entropy split, Categorical/Continuous features, Machine Learning Lec 21/30 [Urdu]
Переглядів 312Рік тому
(0:00) Decision tree model (9:45) Learning process (24:35) Measuring purity, Entropy (34:45) Choosing a split (48:24) Putting it together (1:05:26) Using one-hot encoding of categorical features (1:14:19) Continuous valued features Complete playlist: ua-cam.com/video/qUILAy-I204/v-deo.html Course Material (Slides/Assignments): tinyurl.com/ml-renzym SUBSCRIBE! Also Enable Notifications by clicki...
Photo OCR, Sliding Window, Artificial Data, Ceiling Analysis, Machine Learning Lec 20/30 [Urdu]
Переглядів 191Рік тому
Photo OCR, Sliding Window, Artificial Data, Ceiling Analysis, Machine Learning Lec 20/30 [Urdu]
Stochastic and mini-batch gradient descent, Online , Map-reduce, Machine Learning Lec 19/30 [Urdu]
Переглядів 194Рік тому
Stochastic and mini-batch gradient descent, Online , Map-reduce, Machine Learning Lec 19/30 [Urdu]
Review - Linear, Polynomial, Logistic regression, Regularization, Machine Learning Lec 18/30 [Urdu]
Переглядів 234Рік тому
Review - Linear, Polynomial, Logistic regression, Regularization, Machine Learning Lec 18/30 [Urdu]
Recommender Systems, Collaborative filtering, Machine Learning Lec 17/30 [Urdu]
Переглядів 495Рік тому
Recommender Systems, Collaborative filtering, Machine Learning Lec 17/30 [Urdu]
Anomaly detection, Machine Learning Lec 16/30 [Urdu]
Переглядів 555Рік тому
Anomaly detection, Machine Learning Lec 16/30 [Urdu]
Principal Component Analysis (PCA), Dimensionality reduction, Machine Learning Lec 15/30 [Urdu]
Переглядів 426Рік тому
Principal Component Analysis (PCA), Dimensionality reduction, Machine Learning Lec 15/30 [Urdu]
Clustering, Unsupervised learning, SVM wrap up, Machine Learning Lec 14/30 [Urdu]
Переглядів 326Рік тому
Clustering, Unsupervised learning, SVM wrap up, Machine Learning Lec 14/30 [Urdu]
Support Vector Machine (SVM), Machine Learning Lec 13/30 [Urdu]
Переглядів 401Рік тому
Support Vector Machine (SVM), Machine Learning Lec 13/30 [Urdu]
Tensorflow intro, Machine learning advice, Machine Learning Lec 12/30 [Urdu]
Переглядів 358Рік тому
Tensorflow intro, Machine learning advice, Machine Learning Lec 12/30 [Urdu]
Neural networks wrap up, Debugging machine learning systems, Machine Learning Lec 11/30 [Urdu]
Переглядів 351Рік тому
Neural networks wrap up, Debugging machine learning systems, Machine Learning Lec 11/30 [Urdu]
Backpropagation, Neural network cost function, Machine Learning Lec 10/30 [Urdu]
Переглядів 500Рік тому
Backpropagation, Neural network cost function, Machine Learning Lec 10/30 [Urdu]
Neural networks, model representation and intuitions, Machine Learning Lec 9/30 [Urdu]
Переглядів 615Рік тому
Neural networks, model representation and intuitions, Machine Learning Lec 9/30 [Urdu]
Regularization in linear and logistic regression, Neural Networks, Machine Learning Lec 8/30 [Urdu]
Переглядів 539Рік тому
Regularization in linear and logistic regression, Neural Networks, Machine Learning Lec 8/30 [Urdu]
Logistic Regression, Sigmoid function, One vs All classification, Machine Learning Lec 7/30 [Urdu]
Переглядів 706Рік тому
Logistic Regression, Sigmoid function, One vs All classification, Machine Learning Lec 7/30 [Urdu]
Python/Numpy/Jupyter tutorial 2/2, Machine Learning Lec 6/30 [Urdu]
Переглядів 516Рік тому
Python/Numpy/Jupyter tutorial 2/2, Machine Learning Lec 6/30 [Urdu]

КОМЕНТАРІ

  • @SOMYAGUPTA-jz9qr
    @SOMYAGUPTA-jz9qr 11 днів тому

    awesome lecture amazing concepts.....so this is how machine are build...totally awesome sir

  • @ghanshyamverma-nu3xy
    @ghanshyamverma-nu3xy 26 днів тому

    sir can i get pdf of your lecture?

    • @RenzymEducation
      @RenzymEducation 25 днів тому

      ppts of the last time I taught are on this dropbox link: tinyurl.com/aca-2020

  • @KAMLESHSHINTRE
    @KAMLESHSHINTRE Місяць тому

    Hello sir, Is there any Analog Electronics playlist ? like your DLD...

    • @RenzymEducation
      @RenzymEducation Місяць тому

      Not that I know of. And not on this channel

  • @mustahsanalikhan2221
    @mustahsanalikhan2221 Місяць тому

    this video is a little rough (pixels are very low)

    • @RenzymEducation
      @RenzymEducation Місяць тому

      Now that you have said that, I am also surprised. It gets better after around 20 mins. But the same content is covered in another playlist too. You can watch it here ua-cam.com/video/Q4HDAAXBUns/v-deo.html Either this or next video will cover the same topic.

    • @mustahsanalikhan2221
      @mustahsanalikhan2221 Місяць тому

      @@RenzymEducation yes it got better. yes i did jumped to that video thanks!

    • @mustahsanalikhan2221
      @mustahsanalikhan2221 Місяць тому

      @@RenzymEducation no its the next video. i'll share link just for the convenience of others. ua-cam.com/video/b5_92s6K8cU/v-deo.html

  • @mustahsanalikhan2221
    @mustahsanalikhan2221 Місяць тому

    Assalam o Alaikum I would like to express my gratitude that amount of knowledge i gain from these videos. It has been 15 years since my graduation. I always wanted to learn embedded systems as DLD was my strongest subject during graduation unfortunately at that time fpga/verilog was not tought so i came back and learn from you. I have seen you once in real life as you came to our office once i was surprised but didn't get a chance to meet you. that you sir your are my teacher my mentor thank you for helping me

  • @user-sc9fn8xb7s
    @user-sc9fn8xb7s Місяць тому

    Sir chanel brek kar diya

    • @RenzymEducation
      @RenzymEducation Місяць тому

      Men samjha nahi. You mean why I haven't uploaded new videos for some time?

    • @user-sc9fn8xb7s
      @user-sc9fn8xb7s Місяць тому

      @@RenzymEducation yes

    • @RenzymEducation
      @RenzymEducation Місяць тому

      I have taken a break from teaching courses, but I am thinking of uploading videos whenever I find time.

  • @shehreyarzahoor370
    @shehreyarzahoor370 2 місяці тому

    Awesome explanation I must say, Appreciated

  • @shehreyarzahoor370
    @shehreyarzahoor370 2 місяці тому

    Which university does these lectures belongs to ?

  • @shehreyarzahoor370
    @shehreyarzahoor370 2 місяці тому

    Which university is this?

  • @lalitameena3788
    @lalitameena3788 3 місяці тому

    Very good explanation.

  • @zainabaizaz434
    @zainabaizaz434 3 місяці тому

    very useful topics discussed. thanks for these videos.

  • @Brekhna
    @Brekhna 3 місяці тому

    Well explained and very helpful. Every time, I need to look into a digital design topic I check whether Sir Yasir has a lecture on it - takes me back to good old days during my bachelor classes. :D Thankyou for continuing to upload...

    • @RenzymEducation
      @RenzymEducation 3 місяці тому

      Thanks Iffat. I am glad that it helped.

  • @unixux
    @unixux 4 місяці тому

    Omg bro can I buy you a mic ?

  • @jaysingh6066
    @jaysingh6066 4 місяці тому

    Paji where can we access labs for your awesome course!!

    • @RenzymEducation
      @RenzymEducation 4 місяці тому

      I don't have lab material with me , but I did upload sample assignments and slides for this course on this dropbox link: tinyurl.com/DSD-Shoab

    • @RenzymEducation
      @RenzymEducation 4 місяці тому

      Also since this Verilog topic is common between Digital logic design and Digital system design, the slides and sample assignments related to logic design can be found at this dropbox link instead: tinyurl.com/dld-slides

  • @jaysingh6066
    @jaysingh6066 4 місяці тому

    sending pajji virtual Saag and makki di roti with tons of desi gheo.....

    • @RenzymEducation
      @RenzymEducation 4 місяці тому

      Saag da maza te real ich aa, virtual ich te munh ich pani ana ae bas

  • @jaysingh6066
    @jaysingh6066 4 місяці тому

    another great product from yasir pajis factory ........more power to you!! Making whole south asia and world proud

  • @jujharuiet
    @jujharuiet 4 місяці тому

    sadey paji di balle balle.....nice powerful lecture!!

  • @qarimuhammadumair7900
    @qarimuhammadumair7900 4 місяці тому

    Masallah sir Bahut zabardast Allah ap ko umer ma barkat dale

  • @shafiqnawaz455
    @shafiqnawaz455 4 місяці тому

    Very helpful...

  • @jujharuiet
    @jujharuiet 5 місяців тому

    chopdia roti lol......

  • @jujharuiet
    @jujharuiet 5 місяців тому

    @Renym Education, Can you guys recommend few good FPGA books. Thanks !!

  • @ZohaibAhmed-FETBSEEF
    @ZohaibAhmed-FETBSEEF 5 місяців тому

    👍

  • @jujharuiet
    @jujharuiet 5 місяців тому

    Camera man needs to match up pajis teaching efforts.

  • @jujharuiet
    @jujharuiet 5 місяців тому

    Sadey brilliant paji looks more dashing in black hair !!

  • @jujharuiet
    @jujharuiet 5 місяців тому

    no if no but sirf jat....:)

  • @jujharuiet
    @jujharuiet 5 місяців тому

    OR is a malang gate...lol

  • @jujharuiet
    @jujharuiet 5 місяців тому

    like your simple explanation paji. Waheguru ji bless you, thanks for sharing stuff.

  • @azfararif883
    @azfararif883 5 місяців тому

    Assignments ka solution share kar dain

  • @jujharuiet
    @jujharuiet 5 місяців тому

    paji tusi great ho....each time its so easy and clarifying to listen to your lecture. bahut bahut shukriya

    • @RenzymEducation
      @RenzymEducation 5 місяців тому

      Thanks Jhujhar. I am glad it helped

    • @jujharuiet
      @jujharuiet 5 місяців тому

      @@RenzymEducation thanks to you Paji. Keep on your good stuff and I love your Urdu/ Punjabi language and your content. It's easy to remember and more sticky.

    • @jujharuiet
      @jujharuiet 5 місяців тому

      @@RenzymEducation can you please make more videos on PCB design, bigger verilog design projects and may be live coding.

    • @RenzymEducation
      @RenzymEducation 5 місяців тому

      @@jujharuiet PCB design is not my field directly but I want to do videos on bigger Verilog designs but am unable to find bandwidth to do that. If it happens, it would be at least after a few months

    • @jujharuiet
      @jujharuiet 5 місяців тому

      @@RenzymEducation looking forward eagerly to that day. My best wishes and warm hugs 🤗.

  • @faizanahmad7134
    @faizanahmad7134 5 місяців тому

    The video was very helpful and learned a lot. Thank you so much! What if I want to read input from pmod instead of led and switch? What changes would I have to make in SDK C Code?

    • @RenzymEducation
      @RenzymEducation 5 місяців тому

      Typically Pmod boards come with example code and that could be a good starting point e.g. here is Digilent's guide about Pmod IPs digilent.com/reference/learn/programmable-logic/tutorials/pmod-ips/start

    • @faizanahmad7134
      @faizanahmad7134 5 місяців тому

      @@RenzymEducationI couldn't find these IPs in my IP catalog maybe my board doesn't support them. But still, it should be possible to use them without using IPs.

    • @RenzymEducation
      @RenzymEducation 5 місяців тому

      The article mentions that the support is till Vivado 2019.1 or earlier. So if your version is higher, you might not be able to use the IPs. Secondly I assume that you have followed all the steps, step 2 in particular and have downloaded the IP from Github and followed the steps to add it to repos. Yes, without the IP you should still be able to connect the Pmod pins in the XDC file and use those in your design

  • @user-qu4kl4du6z
    @user-qu4kl4du6z 5 місяців тому

    India?

  • @user-pk4el6sh8w
    @user-pk4el6sh8w 6 місяців тому

    Very Informative. I have one question, if the read latency is 2 clock cycles, will i get 2 cycles delay between every time i write from adress or just at the start?

    • @RenzymEducation
      @RenzymEducation 5 місяців тому

      Writes generally complete in one cycle. Reads can take two cycles. But even in that case you can issue address on each cycle i.e. you will have two cycles latency on each write, but can read on every cycle

  • @user-fv7oi2wk6s
    @user-fv7oi2wk6s 6 місяців тому

    i am usman , jo phn per laga wa hai

  • @ahsankayani8670
    @ahsankayani8670 6 місяців тому

    Can i get its code files dear sir?

    • @RenzymEducation
      @RenzymEducation 6 місяців тому

      Yes. The link to slides and codes is given in the first video of the playlist. Here it is again tinyurl.com/dld-slides

  • @rehanbhatti5843
    @rehanbhatti5843 6 місяців тому

    Thank you sir for such a great video. can you tell me in which university do you teach?

    • @RenzymEducation
      @RenzymEducation 6 місяців тому

      These lectures were given at Abasyn University Islamabad

  • @sajjankumar6473
    @sajjankumar6473 6 місяців тому

    Great stuff

  • @ranjeetkumar2709
    @ranjeetkumar2709 7 місяців тому

    a very consize and clarity about axi4 protocol and to be my view such quality and detailed lectures are also not so much on course platfrom like udemy and i am so surprise that on youtube you are proving free content with such intesive detail.

  • @mdomarfaruque493
    @mdomarfaruque493 7 місяців тому

    You did an amazing job brother.Jazakallah

  • @aleXelaMec
    @aleXelaMec 8 місяців тому

    Thanks for a great video. Was very useful for me!! If youll have more, it would be great. You are explaining very good. Maybe come more complex example. (In english)

    • @RenzymEducation
      @RenzymEducation 8 місяців тому

      A little bit complex design example (in English) is that of a small processor ua-cam.com/video/HCzIK322Pzw/v-deo.html

    • @aleXelaMec
      @aleXelaMec 8 місяців тому

      @@RenzymEducation too many steps ) but ill check. Thanks

  • @omer8354
    @omer8354 8 місяців тому

    what is the name of the engineer giving the lecture?

  • @ZeshanRehman-uj5qt
    @ZeshanRehman-uj5qt 9 місяців тому

    How do you make check for "RAW" @ 42:00 when you have remove source reg's from scoreboard please guide me in this..

    • @RenzymEducation
      @RenzymEducation 9 місяців тому

      For RAW, we need to compare CURRENT instruction's sources against PREVIOUS instruction's destinations. PREVIOUS instruction's sources are not required for RAW.

  • @zainbhatti5565
    @zainbhatti5565 9 місяців тому

    Sir,please share all the slides

    • @RenzymEducation
      @RenzymEducation 9 місяців тому

      Link to slides is there in the video description

    • @zainbhatti5565
      @zainbhatti5565 9 місяців тому

      @@RenzymEducation but sir slides url redirect to empty page

    • @RenzymEducation
      @RenzymEducation 9 місяців тому

      @@zainbhatti5565 Thanks for pointing out. I have updated it and it should work now. Also the link in description is of 2012 offering. If you want to see slides of latest offering at Berkley. Use this link inst.eecs.berkeley.edu/~cs152/sp23/ It also has link to Berkley lecture videos as well if you prefer learning directly from there

    • @zainbhatti5565
      @zainbhatti5565 9 місяців тому

      Thanks Sir. You help alot. God bless you@@RenzymEducation

  • @----700
    @----700 9 місяців тому

    Sir ek doubt h. At 38:35 aapne bataya h ki data memory se data ek clock cycle delay baad aayega. Ise thoda explain kar sakte h ki iska reason kya h aaur is se problem kya hogi? Kya iska reason block ram ka output register h ya kuch aaur?

    • @RenzymEducation
      @RenzymEducation 9 місяців тому

      Yes BRAM has an output register that we can not remove. But our design (so far) is a single cycle design where we expect that whatever is loaded comes out of BRAM in the same cycle. BRAM will instead be giving out data of whatever address was there on the last cycle. To cover that up, we can issue load instruction twice. First one will load incorrect data but in 2nd cycle correct data of first load will come out and since same load instruction is issued so it will be correctly stored

  • @harishabibullah1286
    @harishabibullah1286 9 місяців тому

    A tutotrial on AXI Memory Mapped for PCIe would be highly appreciated.

  • @harirao12345
    @harirao12345 9 місяців тому

    Very well explained! Very insightful as well! Thank you!

  • @IITDELHI98
    @IITDELHI98 10 місяців тому

    sir apne andrew n g se bhi achcha samjhaya..thanks a lot

  • @WaseemAbbas-455
    @WaseemAbbas-455 10 місяців тому

    very good conceptual explanation

  • @WaseemAbbas-455
    @WaseemAbbas-455 10 місяців тому

    you teach really good.

  • @ZahraFreelancer
    @ZahraFreelancer 11 місяців тому

    Great teaching method❤

  • @manishkumarchandela6314
    @manishkumarchandela6314 11 місяців тому

    very compact and precise information. very useful. Great work